Deep Reactive-ion Etching - Cryogenic Process

Cryogenic Process

In cryogenic-DRIE, the wafer is chilled to −110 °C (163 K). The low temperature slows down the chemical reaction that produces isotropic etching. However, ions continue to bombard upward-facing surfaces and etch them away. This process produces trenches with highly vertical sidewalls. The primary issues with cryo-DRIE is that the standard masks on substrates crack under the extreme cold, plus etch by-products have a tendency of depositing on the nearest cold surface, i.e. the substrate or electrode.

Read more about this topic:  Deep Reactive-ion Etching

Famous quotes containing the word process:

    I wish to see, in process of disappearing, that only thing which ever could bring this nation to civil war.
    Abraham Lincoln (1809–1865)