Computer Architecture Simulator - Implementations

Implementations

Some popular architectural simulators include:

  • CPU Sim, a Java application that allows the user to design and create a simple architecture and instruction set and then run programs of instructions from the set through simulation
  • gem5: a freely available academic full system simulator developed at the University of Michigan
  • GEMS : General Execution-driven Multiprocessor Simulator
  • MARSSx86: Micro-ARchitectural and System Simulator for x86-based Systems
  • MikroSim: a Microcode programmable CPU simulator
  • OVPsim : a freely available full system simulator
  • PTLsim, a cycle accurate x86-64 full system simulator
  • SESC:a cycle-accurate MIPS architectural simulator
  • Simics: a full system simulator
  • SimpleScalar: a microarchitectural simulator suite

Read more about this topic:  Computer Architecture Simulator