List of Verilog Simulators - Key

Key

Tag Description
V1995 IEEE 1364-1995 Verilog
V2001 IEEE 1364-2001 Verilog
V2005 IEEE 1364-2005 Verilog
SV2005 IEEE 1800-2005 SystemVerilog
SV2009 IEEE 1800-2009 SystemVerilog
SV2012 IEEE 1800-2012 SystemVerilog
VHDL-1987 IEEE 1076-1987 VHDL
VHDL-1993 IEEE 1076-1993 VHDL
VHDL-2002 IEEE 1076-2002 VHDL
VHDL-2008 IEEE 1076-2008 VHDL

Read more about this topic:  List Of Verilog Simulators

Famous quotes containing the word key:

    Yes, I know.
    Death sits with his key in my lock.
    Not one day is taken for granted.
    Even nursery rhymes have put me in hock.
    Anne Sexton (1928–1974)

    As soon as you are in a social setting, you better take away the key to the lock of your heart and pocket it; those who leave the key in the lock are fools.
    Johann Wolfgang Von Goethe (1749–1832)

    The safety of the republic being the supreme law, and Texas having offered us the key to the safety of our country from all foreign intrigues and diplomacy, I say accept the key ... and bolt the door at once.
    Andrew Jackson (1767–1845)