Excimer Lasers - Major Applications

Major Applications

The ultraviolet light from an excimer laser is well absorbed by biological matter and organic compounds. Rather than burning or cutting material, the excimer laser adds enough energy to disrupt the molecular bonds of the surface tissue, which effectively disintegrates into the air in a tightly controlled manner through ablation rather than burning. Thus excimer lasers have the useful property that they can remove exceptionally fine layers of surface material with almost no heating or change to the remainder of the material which is left intact. These properties make excimer lasers well suited to precision micromachining organic material (including certain polymers and plastics), or delicate surgeries such as eye surgery LASIK.

Excimer lasers are widely used in high-resolution photolithography machines, one of the critical technologies required for microelectronic chip manufacturing. Current state-of-the-art lithography tools use deep ultraviolet (DUV) light from the KrF and ArF excimer lasers with wavelengths of 248 and 193 nanometers (the dominant lithography technology today is thus also called “excimer laser lithography”), which has enabled transistor feature sizes to shrink below 45 nanometers. Excimer laser lithography has thus played a critical role in the continued advance of the so-called Moore’s law for the last 20 years.

The most widespread industrial application of excimer lasers has been in deep-ultraviolet photolithography, a critical technology used in the manufacturing of microelectronic devices (i.e., semiconductor integrated circuits or “chips”). Historically, from the early 1960s through the mid-1980s, mercury-xenon lamps had been used in lithography for their spectral lines at 436, 405 and 365 nm wavelengths. However, with the semiconductor industry’s need for both higher resolution (to produce denser and faster chips) and higher throughput (for lower costs), the lamp-based lithography tools were no longer able to meet the industry’s requirements. This challenge was overcome when in a pioneering development in 1982, deep-UV excimer laser lithography was proposed and demonstrated at IBM by Kanti Jain. With phenomenal advances made in equipment technology in the last two decades, and today microelectronic devices fabricated using excimer laser lithography totaling $400 billion in annual production, it is the semiconductor industry view that excimer laser lithography has been a crucial factor in the continued advance of Moore’s law, enabling minimum features sizes in chip manufacturing to shrink from 0.5 micrometer in 1990 to 22 nanometers in 2012. This trend is expected to continue into this decade for even denser chips, with minimum features approaching 10 nanometers. From an even broader scientific and technological perspective, since the invention of the laser in 1960, the development of excimer laser lithography has been highlighted as one of the major milestones in the 50-year history of the laser.

The high-power ultraviolet output of excimer lasers also makes them useful for surgery (particularly eye surgery) and for dermatological treatment. In 1980–1983, Rangaswamy Srinivasan, Samuel Blum and James Wynne at IBM’s T. J. Watson Research Center observed the effect of the ultraviolet excimer laser on biological materials. Intrigued, they investigated further, finding that the laser made clean, precise cuts that would be ideal for delicate surgeries. This resulted in a fundamental patent and Srinivasan, Blum and Wynne were elected to the National Inventors Hall of Fame in 2002. In 2012, the team members were honored with National Medal of Technology and Innovation by the President of The United States Barack Obama for their work related to Excimer Laser. Subsequent work introduced the excimer laser for use in angioplasty. Kansas State University pioneered the study of the excimer laser which made LASIK surgery possible. Xenon chloride (308 nm) excimer lasers can also treat a variety of dermatological conditions including psoriasis, vitiligo, atopic dermatitis, alopecia areata and leukoderma.

For applications in deep-UV photolithography for semiconductor chip manufacturing, excimer lasers have been highly industrialized, making them extremely reliable and easily serviceable. However, as light sources, excimer lasers are generally large in size, which is a disadvantage in their medical applications, although their sizes are rapidly decreasing with ongoing development.

Excimer lasers are also widely used in numerous fields of scientific research, both as primary sources and, particularly the XeCl laser, as pump sources for tunable dye lasers, mainly to excite laser dyes emitting in the blue-green region of the spectrum.

Read more about this topic:  Excimer Lasers

Famous quotes containing the word major:

    In the larger view the major forces of the depression now lie outside of the United States, and our recuperation has been retarded by the unwarranted degree of fear and apprehension created by these outside forces.
    Herbert Hoover (1874–1964)