Very-large-scale Integration - Conferences

Conferences

  • ISSCC – IEEE International Solid-State Circuits Conference
  • CICC – IEEE Custom Integrated Circuits Conference
  • ISCAS – IEEE International Symposium on Circuits and Systems
  • VLSI Circuits - IEEE Symposium on VLSI Circuits
  • VLSI – IEEE International Conference on VLSI Design
  • DAC – Design Automation Conference
  • ICCAD – International Conference on Computer-Aided Design
  • ISPD – International Symposium on Physical Design
  • ISQED – International Symposium on Quality Electronic Design
  • DATE – Design Automation and Test in Europe
  • IEDM – IEEE International Electron Devices Meeting
  • ASP-DAC – Asia and South Pacific Design Automation Conference
  • VLSI-SoC - IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC)

Read more about this topic:  Very-large-scale Integration