System Verilog DPI - Function Import and Export

Function Import and Export

1) Function Import:- A function implemented in Foreign language can be used in SystemVerilog by importing it. A Foreign language function used in SystemVerilog is called Imported function.

Read more about this topic:  System Verilog DPI

Famous quotes containing the words function, import and/or export:

    Think of the tools in a tool-box: there is a hammer, pliers, a saw, a screwdriver, a rule, a glue-pot, nails and screws.—The function of words are as diverse as the functions of these objects.
    Ludwig Wittgenstein (1889–1951)

    Every tree sends its fibres forth in search of the Wild. The cities import it at any price. Men plow and sail for it. From the forest and wilderness come the tonics and barks which brace mankind.
    Henry David Thoreau (1817–1862)

    The rumor of a great city goes out beyond its borders, to all the latitudes of the known earth. The city becomes an emblem in remote minds; apart from the tangible export of goods and men, it exerts its cultural instrumentality in a thousand phases.
    In New York City, U.S. public relief program (1935-1943)