System Verilog DPI - Export Declaration

Export Declaration

export “DPI-C” my_cfunction = function myfunction;

Read more about this topic:  System Verilog DPI

Famous quotes containing the words export and/or declaration:

    The rumor of a great city goes out beyond its borders, to all the latitudes of the known earth. The city becomes an emblem in remote minds; apart from the tangible export of goods and men, it exerts its cultural instrumentality in a thousand phases.
    In New York City, U.S. public relief program (1935-1943)

    I foresee the time when the painter will paint that scene, no longer going to Rome for a subject; the poet will sing it; the historian record it; and, with the Landing of the Pilgrims and the Declaration of Independence, it will be the ornament of some future national gallery, when at least the present form of slavery shall be no more here. We shall then be at liberty to weep for Captain Brown. Then, and not till then, we will take our revenge.
    Henry David Thoreau (1817–1862)